Welcome![Sign In][Sign Up]
Location:
Search - 8051 vhdl

Search list

[Other resourceVHDL_8051

Description: 一个用VHDL写的8051的内核,希望对学习IC设计的同仁有所帮助.
Platform: | Size: 403982 | Author: king | Hits:

[Other resourcemc8051_design

Description: MC8051 IP CoreOregano Systems 8-bit Microcontroller IP-Core此公司提供的8051 core很容易在FPGA 上用同时也是学习VHDL的一份不错的进阶实例-MC8051 IP CoreOregano Systems 8-bit Microcontroller IP-Core company for the 8051 core very easy to use in FPGA VHDL is also studying a good example of the SSP
Platform: | Size: 557338 | Author: 寇锐 | Hits:

[VHDL-FPGA-Verilog8051inVHDL

Description:
Platform: | Size: 145408 | Author: 王运 | Hits:

[VHDL-FPGA-Verilogmc8051design

Description: VHDL写的8051内核,可用的,好用,有兴趣可下载,在外国网站下载的-write VHDL 8051 kernel, available, convenient, can be downloaded interested in the foreign website
Platform: | Size: 408576 | Author: lbh | Hits:

[VHDL-FPGA-Verilogcpld

Description: 基于CPLD XC95018开发的一段VHDL代码,可实现多个8051单片机互相通讯,对多单片机系统的设计很有参考价值-Based on CPLD XC95018 developed section of VHDL code, can realize more than 8051 mutual communication, single-chip microcomputer system for the design of multi-reference value is
Platform: | Size: 907264 | Author: 蔡彬彬 | Hits:

[VHDL-FPGA-Verilogcpldbus51

Description: CPLD与8051的总线接口VHDL源码-CPLD with 8051 bus interface VHDL source
Platform: | Size: 50176 | Author: xjb | Hits:

[SCMVHDLdanpianji

Description: 本文首先对MCS8051单片机的原理进行介绍和分析;接着介绍使用EDA技术,用VHDL语言完成了8051单片机的设计工作;MCS8051单片机的CPU和数模转换器的设计运用了算术逻辑单元ALU算术运算的算法实现和控制单元的状态机;以及数模转换器的∑-△调制方法的实现。通过如上的算法实现,可以看出VHDL语言在算法级的设计上具有很多的优势和特点。使用EDA技术设计的结果既可以用FPGA/CPLD来实施验证,也可以直接做成专用集成电路(ASIC)。-VHDL
Platform: | Size: 254976 | Author: li | Hits:

[VHDL-FPGA-Verilogoc8051.tar

Description: 8051 core writen in VHDL, fully functional and tested
Platform: | Size: 1513472 | Author: eldis | Hits:

[VHDL-FPGA-Verilog8051VHDLSource

Description: Toplevel VHDL Structural model of a system containing 8051 -Toplevel VHDL Structural model of a system containing 8051
Platform: | Size: 36864 | Author: isoft | Hits:

[VHDL-FPGA-Verilog8051core

Description: 8051的核源码(vhdl)编写,并已进行仿真-8051 nuclear source (vhdl) to prepare
Platform: | Size: 2048 | Author: qaz | Hits:

[VHDL-FPGA-Verilog8051_test2

Description: 利用FPGA实现51IP核的下载和运行,并在下载到FPGA后,在改51IP核上运行自己编写的单片机程序,软核51单片机有利的解决了,硬件51单片机的很多限制,提高了单片机的性能。-FPGA realization of the use of nuclear 51IP download and run, and downloaded to the FPGA after the nuclear 51IP to run their own procedures for the preparation of the single-chip, single-chip soft-core 51 favorable resolved, single-chip hardware of many restrictions 51 to improve the performance of a single chip.
Platform: | Size: 1762304 | Author: 贾衡天 | Hits:

[VHDL-FPGA-Verilog51IP

Description:
Platform: | Size: 14004224 | Author: 钟桂东 | Hits:

[VHDL-FPGA-Verilog8051core-Verilog

Description: C51 verilog 源代码,可以在逻辑中实现51单片机功能-C51 verilog
Platform: | Size: 52224 | Author: 韩涛 | Hits:

[VHDL-FPGA-VerilogAlterMCU8051IP

Description: 8051IP核 FPGA ALTER公司-8051IP-core FPGA
Platform: | Size: 9214976 | Author: gongcheng | Hits:

[VHDL-FPGA-Verilog8051_source_2.8a

Description: 8051内核的hdl代码,实际上是verilog格式不过上载页面只有一个vhdl选择,值得一读, 里面对仿真和验证的说明很有含金量-the hdl code of 8051 core
Platform: | Size: 38912 | Author: mars | Hits:

[Embeded-SCM DevelopDW8051

Description: 8051Ip核内部ram。很多8051iP核都没有内部ram,上传一个希望对大家有用-internel ram of 8051Ip
Platform: | Size: 73728 | Author: 刘超 | Hits:

[VHDL-FPGA-Verilog8051code

Description: VHDL源码 8051+IP内核 在xilinx环境仿真运行 不带接口的逻辑部分代码-VHDL source code 8051+ IP cores in the xilinx environment simulation to run without a logical part of the code interface
Platform: | Size: 98304 | Author: 王力 | Hits:

[VHDL-FPGA-Verilog051vhdl

Description: cpu8051 vhdl-8051 open source code.....................................
Platform: | Size: 214016 | Author: huang | Hits:

[VHDL-FPGA-VerilogBehaviour

Description: 这是一个使用VHDL编写的8051的CPLD行为代码,-there are several modules for 8051 MCU wrote by VHDL
Platform: | Size: 84992 | Author: sam | Hits:

[VHDL-FPGA-VerilogE8051_256

Description: This contains the main-level VHDL files required for an example complete, ready-to-use, FPGA/ASIC 8051 microcontroller. The corresponding main schematic can be found in the Schematics folder, and a technical description of the e8051 core interface in the “Data sheets/e8051 User Guide” file-This contains the main-level VHDL files required for an example complete, ready-to-use, FPGA/ASIC 8051 microcontroller. The corresponding main schematic can be found in the Schematics folder, and a technical description of the e8051 core interface in the “Data sheets/e8051 User Guide” file
Platform: | Size: 504832 | Author: h_j_tel | Hits:
« 1 2 3 4 5 6 78 9 »

CodeBus www.codebus.net